<pre style='margin:0'>
Frank Schima (mf2k) pushed a commit to branch master
in repository macports-ports.

</pre>
<p><a href="https://github.com/macports/macports-ports/commit/314c1fa85112e874a925901443d84d355e22929b">https://github.com/macports/macports-ports/commit/314c1fa85112e874a925901443d84d355e22929b</a></p>
<pre style="white-space: pre; background: #F8F8F8">The following commit(s) were added to refs/heads/master by this push:
<span style='display:block; white-space:pre;color:#404040;'>     new 314c1fa  rx_tools: new port
</span>314c1fa is described below

<span style='display:block; white-space:pre;color:#808000;'>commit 314c1fa85112e874a925901443d84d355e22929b
</span>Author: Davide Gerhard <rainbow@irh.it>
AuthorDate: Sun Apr 21 10:50:35 2019 +0200

<span style='display:block; white-space:pre;color:#404040;'>    rx_tools: new port
</span><span style='display:block; white-space:pre;color:#404040;'>    
</span><span style='display:block; white-space:pre;color:#404040;'>    rx_fm, rx_power, and rx_sdr tools for receiving data from SDRs using
</span><span style='display:block; white-space:pre;color:#404040;'>    SoapySDR
</span>---
 science/rx_tools/Portfile | 24 ++++++++++++++++++++++++
 1 file changed, 24 insertions(+)

<span style='display:block; white-space:pre;color:#808080;'>diff --git a/science/rx_tools/Portfile b/science/rx_tools/Portfile
</span>new file mode 100644
<span style='display:block; white-space:pre;color:#808080;'>index 0000000..fd25de5
</span><span style='display:block; white-space:pre;background:#ffe0e0;'>--- /dev/null
</span><span style='display:block; white-space:pre;background:#e0e0ff;'>+++ b/science/rx_tools/Portfile
</span><span style='display:block; white-space:pre;background:#e0e0e0;'>@@ -0,0 +1,24 @@
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+# -*- coding: utf-8; mode: tcl; tab-width: 4; indent-tabs-mode: nil; c-basic-offset: 4 -*- vim:fenc=utf-8:filetype=tcl:et:sw=4:ts=4:sts=4
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+PortSystem          1.0
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+PortGroup           github 1.0
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+PortGroup           cmake 1.1
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+platforms           darwin macosx
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+categories          science
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+license             MIT
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+maintainers         {@ra1nb0w irh.it:rainbow} openmaintainer
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+description         rx_fm, rx_power, and rx_sdr tools for \
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+    receiving data from SDRs using SoapySDR
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+long_description    ${description}
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+github.setup        rxseger rx_tools 811b21c4c8a592515279bd19f7460c6e4ff0551c
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+version             20190421-[string range ${github.version} 0 7]
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+checksums           rmd160  be7ce358427be88112ba8c7a6f976fef3f5782ac \
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+                    sha256  1c5037b7b204e184edb51151982cc1e4aab9293a6fff00445f37395f7b25586a \
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+                    size    49248
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+revision            0
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+depends_lib-append \
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+    port:SoapySDR
</span></pre><pre style='margin:0'>

</pre>