<pre style='margin:0'>
Herby Gillot (herbygillot) pushed a commit to branch master
in repository macports-ports.

</pre>
<p><a href="https://github.com/macports/macports-ports/commit/1d962f2d58e9d5b8ec6fcaf9e2da735d384743fb">https://github.com/macports/macports-ports/commit/1d962f2d58e9d5b8ec6fcaf9e2da735d384743fb</a></p>
<pre style="white-space: pre; background: #F8F8F8"><span style='display:block; white-space:pre;color:#808000;'>commit 1d962f2d58e9d5b8ec6fcaf9e2da735d384743fb
</span>Author: barracuda156 <vital.had@gmail.com>
AuthorDate: Sun Oct 29 02:36:03 2023 +0800

<span style='display:block; white-space:pre;color:#404040;'>    R-interp: support testing
</span>---
 R/R-interp/Portfile | 15 +++++++++++++--
 1 file changed, 13 insertions(+), 2 deletions(-)

<span style='display:block; white-space:pre;color:#808080;'>diff --git a/R/R-interp/Portfile b/R/R-interp/Portfile
</span><span style='display:block; white-space:pre;color:#808080;'>index 2008219e76a..39076334425 100644
</span><span style='display:block; white-space:pre;background:#e0e0ff;'>--- a/R/R-interp/Portfile
</span><span style='display:block; white-space:pre;background:#e0e0ff;'>+++ b/R/R-interp/Portfile
</span><span style='display:block; white-space:pre;background:#e0e0e0;'>@@ -3,11 +3,11 @@
</span> PortSystem          1.0
 PortGroup           R 1.0
 
<span style='display:block; white-space:pre;background:#ffe0e0;'>-R.setup             cran cran interp 1.1-4
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+R.setup             cran albrecht.gebhardt interp 1.1-4
</span> revision            1
 categories-append   math
 maintainers         {@barracuda156 gmail.com:vital.had} openmaintainer
<span style='display:block; white-space:pre;background:#ffe0e0;'>-license             {GPL-2 GPL-3}
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+license             GPL-2+
</span> description         Interpolation methods
 long_description    {*}${description}
 checksums           rmd160  44a80b41adcd0da8fc25140d0abd74a7ab0b01b7 \
<span style='display:block; white-space:pre;background:#e0e0e0;'>@@ -19,3 +19,14 @@ depends_lib-append  port:R-deldir \
</span>                     port:R-RcppEigen
 
 compilers.setup     require_fortran
<span style='display:block; white-space:pre;background:#e0ffe0;'>+
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+depends_test-append port:R-Deriv \
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+                    port:R-ggplot2 \
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+                    port:R-gridExtra \
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+                    port:R-Ryacas \
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+                    port:R-scatterplot3d \
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+                    port:R-sp \
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+                    port:R-stringi \
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+                    port:R-stringr
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+test.run            yes
</span></pre><pre style='margin:0'>

</pre>