<pre style='margin:0'>
Herby Gillot (herbygillot) pushed a commit to branch master
in repository macports-ports.

</pre>
<p><a href="https://github.com/macports/macports-ports/commit/4050153657acb53029ed59b49276f5cc60b160f3">https://github.com/macports/macports-ports/commit/4050153657acb53029ed59b49276f5cc60b160f3</a></p>
<pre style="white-space: pre; background: #F8F8F8">The following commit(s) were added to refs/heads/master by this push:
<span style='display:block; white-space:pre;color:#404040;'>     new 4050153657a veryl: new port (v0.8.1)
</span>4050153657a is described below

<span style='display:block; white-space:pre;color:#808000;'>commit 4050153657acb53029ed59b49276f5cc60b160f3
</span>Author: Herby Gillot <herby.gillot@gmail.com>
AuthorDate: Thu Mar 14 22:16:30 2024 -0400

<span style='display:block; white-space:pre;color:#404040;'>    veryl: new port (v0.8.1)
</span><span style='display:block; white-space:pre;color:#404040;'>    
</span><span style='display:block; white-space:pre;color:#404040;'>    https://github.com/veryl-lang/veryl
</span>---
 lang/veryl/Portfile | 41 +++++++++++++++++++++++++++++++++++++++++
 1 file changed, 41 insertions(+)

<span style='display:block; white-space:pre;color:#808080;'>diff --git a/lang/veryl/Portfile b/lang/veryl/Portfile
</span>new file mode 100644
<span style='display:block; white-space:pre;color:#808080;'>index 00000000000..c7a7b800cba
</span><span style='display:block; white-space:pre;background:#ffe0e0;'>--- /dev/null
</span><span style='display:block; white-space:pre;background:#e0e0ff;'>+++ b/lang/veryl/Portfile
</span><span style='display:block; white-space:pre;background:#e0e0e0;'>@@ -0,0 +1,41 @@
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+# -*- coding: utf-8; mode: tcl; tab-width: 4; indent-tabs-mode: nil; c-basic-offset: 4 -*- vim:fenc=utf-8:ft=tcl:et:sw=4:ts=4:sts=4
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+PortSystem          1.0
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+PortGroup           cargo   1.0
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+PortGroup           github  1.0
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+github.setup        veryl-lang veryl 0.8.1 veryl-v
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+github.tarball_from archive
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+revision            0
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+description         Veryl\: A Modern Hardware Description Language
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+long_description    \
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+    {*}${description}. Veryl is designed as a \"SystemVerilog Alternative\". \
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+    There are some design concepts: it has a simplified syntax based on \
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+    SystemVerilog\/Rust, transpiles to SystemVerilog, generates \
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+    human-readable SystemVerilog code, and comes with integrated tools like a \
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+    formatter\/linter, and integrates with VSCode.
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+categories          lang
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+installs_libs       no
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+license             {Apache-2 MIT}
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+maintainers         {gmail.com:herby.gillot @herbygillot} \
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+                    openmaintainer
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+fetch.type          git
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+cargo.offline_cmd
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+post-fetch {
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+    system -W ${worksrcpath} "git submodule update --init --recursive"
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+}
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+destroot {
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+    xinstall -m 0755 \
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+        ${worksrcpath}/target/[cargo.rust_platform]/release/${name} \
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+        ${worksrcpath}/target/[cargo.rust_platform]/release/${name}-ls \
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+        ${worksrcpath}/target/[cargo.rust_platform]/release/mdbook-${name} \
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+        ${destroot}${prefix}/bin/
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+}
</span><span style='display:block; white-space:pre;background:#e0ffe0;'>+
</span></pre><pre style='margin:0'>

</pre>